TAILIEUCHUNG - Báo cáo hóa học: " Research Article Thermal-Aware Scheduling for Future Chip Multiprocessors"

Tuyển tập báo cáo các nghiên cứu khoa học quốc tế ngành hóa học dành cho các bạn yêu hóa học tham khảo đề tài: Research Article Thermal-Aware Scheduling for Future Chip Multiprocessors | Hindawi Publishing Corporation EURASIP Journal on Embedded Systems Volume 2007 Article ID 48926 15 pages doi 2007 48926 Research Article Thermal-Aware Scheduling for Future Chip Multiprocessors Kyriakos Stavrou and Pedro Trancoso Department of Computer Science University of Cyprus 75 Kallipoleos Street . Box 20537 1678 Nicosia Cyprus Received 10 July 2006 Revised 12 December 2006 Accepted 29 January 2007 Recommended by Antonio Nunez The increased complexity and operating frequency in current single chip microprocessors is resulting in a decrease in the performance improvements. Consequently major manufacturers offer chip multiprocessor CMP architectures in order to keep up with the expected performance gains. This architecture is successfully being introduced in many markets including that of the embedded systems. Nevertheless the integration of several cores onto the same chip may lead to increased heat dissipation and consequently additional costs for cooling higher power consumption decrease of the reliability and thermal-induced performance loss among others. In this paper we analyze the evolution of the thermal issues for the future chip multiprocessor architectures and show that as the number of on-chip cores increases the thermal-induced problems will worsen. In addition we present several scenarios that result in excessive thermal stress to the CMP chip or significant performance loss. In order to minimize or even eliminate these problems we propose thermal-aware scheduler TAS algorithms. When assigning processes to cores TAS takes their temperature and cooling ability into account in order to avoid thermal stress and at the same time improve the performance. Experimental results have shown that a TAS algorithm that considers also the temperatures of neighboring cores is able to significantly reduce the temperature-induced performance loss while at the same time decrease the chip s temperature across many different operation and configuration .

TÀI LIỆU LIÊN QUAN
TAILIEUCHUNG - Chia sẻ tài liệu không giới hạn
Địa chỉ : 444 Hoang Hoa Tham, Hanoi, Viet Nam
Website : tailieuchung.com
Email : tailieuchung20@gmail.com
Tailieuchung.com là thư viện tài liệu trực tuyến, nơi chia sẽ trao đổi hàng triệu tài liệu như luận văn đồ án, sách, giáo trình, đề thi.
Chúng tôi không chịu trách nhiệm liên quan đến các vấn đề bản quyền nội dung tài liệu được thành viên tự nguyện đăng tải lên, nếu phát hiện thấy tài liệu xấu hoặc tài liệu có bản quyền xin hãy email cho chúng tôi.
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.