TAILIEUCHUNG - Bài giảng Nhập môn điện tử - Chương 6: Vòng khóa pha

Bài giảng "Nhập môn điện tử - Chương 6: Vòng khóa pha" cung cấp cho người đọc các kiến thức: Giới thiệu, bộ tách pha, bộ dao động điều khiển bằng điện áp, bộ lọc – Khuếch đại của vòng, nguyên lý hoạt động, các ứng dụng vòng khóa pha,. nội dung chi tiết. | CHƯƠNG 6 VềNG KHểA PHA (Phase Locked Loop pLL) TRƯỜNG ĐẠI HỌC CễNG NGHỆ THễNG TIN NỘI DUNG Giới thiệu Bộ tỏch pha Bộ dao động điều khiển bằng điện ỏp Bộ lọc – khuếch đại của vũng Nguyờn lý hoạt động Cỏc ứng dụng vũng khúa pha Vũng khúa pha số Mạch vũng khúa pha mạch tớch hợp 1. Giới thiệu Phase Locked Loop - PLL Vũng khoỏ pha/vũng giữ pha/vũng chốt pha là hệ thống vòng kín hồi tiếp, trong đó tín hiệu hồi tiếp dùng để khoá tần số và pha của tín hiệu ra theo tần số và pha tín hiệu vào. Ứng dụng đầu tiên của PLL vào năm 1932 trong việc tách sóng đồng bộ. Kỹ thuật PLL được ứng dụng rộng rãi trong các mạch lọc, tổng hợp tần số, điều chế và giải điều chế, điều khiển tự động, tách âm (Tone), giải mã Stereo, tổng hợp tần số. Trước đây đa phần PLL bao gồm cả mạch số lẫn tương tự. Hiện nay PLL số trở nên phổ biến. Sơ đồ khối của PLL 2. Bộ tỏch pha (Phase Detector) Bộ tỏch pha /bộ so sánh pha: tạo ra tín hiệu phụ thuộc vào hiệu pha của 2 tín hiệu vào, tín hiệu vào thường là hình sin hoặc xung vuông. Có ba loại tách sóng pha: Bộ tách sóng pha tương tự Bộ tách sóng pha số Bộ tách sóng pha lấy mẫu 2. Bộ tỏch pha (Phase Detector) 1/ Bộ tách sóng pha tương tự: có tín hiệu ra tỷ lệ với biên độ tín hiệu vào, là tách sóng pha tuyến tính(tín hiệu vào là sin). 2/ Bộ tách sóng pha số: có tín hiệu ra biến đổi chậm phụ thuộc độ rộng xung ngõ ra tức là phụ thuộc sai lệch về pha giữa hai tín hiệu vào (tín hiệu vào là xung vuông), thực hiện bởi mạch số EX-OR, RS Flip Flop . 3. Bộ dao động điều khiển bằng điện ỏp VCO (Voltage controlled oscillator) Mạch VCO tiêu biểu Đặc tuyến truyền đạt fo(Vdk) tiêu biểu của VCO 3. Bộ dao động điều khiển bằng điện ỏp VCO (Voltage controlled oscillator) - Là mạch dao động có tần số được kiểm soát bằng điện áp . - Yêu cầu chung của mạch VCO là quan hệ giữa điện áp điều khiển Vdk(t) và tần số ra fo(t) phải tuyến tính. - Ngoài ra mạch còn có độ ổn định tần số cao, dải biến đổi của tần số theo điện áp vào rộng, đơn giản, dễ điều chỉnh và thuận lợi cho việc .

TỪ KHÓA LIÊN QUAN
TAILIEUCHUNG - Chia sẻ tài liệu không giới hạn
Địa chỉ : 444 Hoang Hoa Tham, Hanoi, Viet Nam
Website : tailieuchung.com
Email : tailieuchung20@gmail.com
Tailieuchung.com là thư viện tài liệu trực tuyến, nơi chia sẽ trao đổi hàng triệu tài liệu như luận văn đồ án, sách, giáo trình, đề thi.
Chúng tôi không chịu trách nhiệm liên quan đến các vấn đề bản quyền nội dung tài liệu được thành viên tự nguyện đăng tải lên, nếu phát hiện thấy tài liệu xấu hoặc tài liệu có bản quyền xin hãy email cho chúng tôi.
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.