Đang chuẩn bị liên kết để tải về tài liệu:
Adaptive Techniques for Dynamic Processor Optimization_Theory and Practice Episode 1 Part 4

Đang chuẩn bị nút TẢI XUỐNG, xin hãy chờ

Tham khảo tài liệu 'adaptive techniques for dynamic processor optimization_theory and practice episode 1 part 4', kỹ thuật - công nghệ, cơ khí - chế tạo máy phục vụ nhu cầu học tập, nghiên cứu và làm việc hiệu quả | 46 Maurice Meijer José Pineda de Gyvez References 1 W. Haensch et al. Silicon CMOS devices beyond Scaling IBM Journal of Research and Development July September 2006 Vol. 50 No. 4 5 pp. 339-361 2 D.J. Frank Power constrained CMOS scaling limits IBM Journal of Research and Development March May 2002 Vol. 46 No. 23 pp. 235-244 3 AMD PowerNOW Technology AMD white paper November 2000 http www.amd.com 4 M. Fleishman Longrun power management Dynamic power management for crusoe processor Transmeta white paper January 2001 http www.transmeta.com 5 S. Gochman et al. The Intel Pentium M processors Microarchitecture and performance Intel Technology Journal May 2003 Vol. 7 No. 2 pp. 22-36 6 T. Kuroda et al. Variable supply-voltage scheme for low-power highspeed CMOS digital design IEEE Journal of Solid-State Circuits March 1998 Vol. 33 No. 3 pp. 454-462 7 K. Nowka et al. A 32-bit PowerPC system-on-a-chip with support for dynamic voltage scaling and dynamic frequency scaling IEEE Journal of Solid-State Circuits November 2002 Vol. 37 No. 11 pp. 1441-1447 8 V. Gutnik and A. Chandrakasan Embedded power supply for low-power DSP IEEE Transactions on Very Large Scale Integration VLSI Systems December 1997 Vol. 5 No. 4 pp.425-435 9 T. Miyake et al. Design methodology of high performance microprocessor using ultra-low threshold voltage CMOS Proceedings of IEEE Custom Integrated Circuits Conference 2001 pp. 275-278 10 J. Tschanz J. Kao S. Narendra R. Nair D. Antoniadis A. Chandrakasan and Vivek De Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage IEEE Solid-State Circuits Conference February 2002 Vol. 1 pp. 422-478 11 T. Chen and S. Naffziger Comparison of Adaptive Body Bias ABB and Adaptive Supply Voltage ASV for improving delay and leakage under the presence of process variation IEEE Transactions on VLSI Systems October 2003 Vol. 11 No. 5 pp. 888-899 12 T. Sakurai and R. Newton Alpha-power law MOSFET model

TAILIEUCHUNG - Chia sẻ tài liệu không giới hạn
Địa chỉ : 444 Hoang Hoa Tham, Hanoi, Viet Nam
Website : tailieuchung.com
Email : tailieuchung20@gmail.com
Tailieuchung.com là thư viện tài liệu trực tuyến, nơi chia sẽ trao đổi hàng triệu tài liệu như luận văn đồ án, sách, giáo trình, đề thi.
Chúng tôi không chịu trách nhiệm liên quan đến các vấn đề bản quyền nội dung tài liệu được thành viên tự nguyện đăng tải lên, nếu phát hiện thấy tài liệu xấu hoặc tài liệu có bản quyền xin hãy email cho chúng tôi.
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.