Đang chuẩn bị liên kết để tải về tài liệu:
Luận văn đề tài thiết Kế Mạch Bằng VHDL

Đang chuẩn bị nút TẢI XUỐNG, xin hãy chờ

VDHL là Ngôn ngữ mô tả phần cứng cho các mạch tích hợp có tốc độ cao, là 1 loại Ngôn ngữ mô tả phần cứng được phát triển dùng cho chương trình VHSIC của bộ quốc phòng Mỹ. | TRũỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI KHOA CònG nghệ thòng tin BỘ Mòn kỹ thuật máy tính BÁO CÁO BỒ ÁN MÔN HỌC THIẾT KỂ MẠCH NHỜ MÁy TÍNH ĐỂ TÀI THIẾT KẾ MẠCH BANG VHDL Giáo viên hướng dẫn th.s. NGUYỄN PHÚ BĨNH Nhóm sinh viên thực hiện LÊ TUAN ANH NGHIÊM KIM PH- ƠNG NGUYỄN QUỐC VIỆT NGUYỄN NGỌC LINH Lớp KTMT - K46 Hà Nội 10 2005 ỉ. Tài 4 Thiết kõ vi mạch b-ằng VHDL Nhâm 4 Mục lục Trang Mục lục.- 1 - Danh mục hình .- 3 - Danh mục bảng .- 5 - Chương 1 Giới thiệu.- 6 - 1.1. Giới thiệu về VHDL.- 6 - 1.2. Giới thiệu công nghệ và ứng dụng thiết kế mạch bằng VHDL. . - 7 - 1.2.1 Ứng dụng của công nghệ thiết kế mạch bằng VHDL.- 7 - 1.2.2 Quy trinh thiết kế mạch bằng VHDL.- 7 - 1.2.3. Công cụ EDA.- 8 - 1.2.4. Chuyển mã VHDL vào mạch.- 9 - Chương 2. Cấu trúc mã.- 12 - 2.1. Các đơn vị VHDL cơ bản.- 12 - 2.2. Khai báo Library.- 12 - 2.3. Entity thực thể . .- 14 - 2.4. ARCHITECTURE cấu trúc .- 14 - 2.5. Các ví dụ mở đầu.- 17 - Chương 3 Kiểu dữ liệu.- 20 - 3.1. Các kiểu dữ liệu tiền định nghĩa.- 20 - 3.2. Các kiểu dữ liệu người dùng định nghĩa.- 23 - 3.3. Các kiểu con Subtypes .- 23 - 3.4. Mảng Arrays .- 24 - 3.5. Mảng cổng Port Array .- 27 - 3.6. Kiểu bản ghi Records .- 28 - 3.7. Kiểu dữ liệu có dấu và không dấu Signed and Unsigned .- 28 - 3.8. Chuyển đổi dữ liệu.- 29 - 3.9. Tóm tắt. .- 31 - 3.10. Các ví dụ.- 31 - Chương 4 Toán tử và thuộc tính.- 36 - 4.1. Toán tử.- 36 - 4.1.1 Toán tử gán.- 36 - 4.1.2 Toán tử Logic.- 36 - 4.1.3 Toán tử toán học.- 36 - 4.1.4 Toán tử so sánh.- 37 - 4.1.5 Toán tử dịch.- 37 - 4.2. Thuộc tính.- 37 - 4.1.1. Thuộc tính dữ liệu.- 37 - 4.1.2. Thuộc tính tín hiệu.- 38 - 4.3. Thuộc tính được định nghĩa bởi người dùng.- 38 - 4.4. Chồng toán tử.- 38 - 4.5. GEnErIC.- 39 - 4.6. Ví dụ. - 39 - Chương 5 Mã song song.- 44 - 5.1. Song song và tuần tự.- 44 - 5.1.1. Mạch tổ hợp và mạch dãy.- 44 - 5.1.2. Mã song song và mã tuần tự.- 44 - 5.2. Sử dụng các toán tử.- 45 - 5.3. Mệnh đề WHEN.- 46 - - 1 - Ò Tài 4 Thiết kõ vi mạch b-ằng VHDL Nhâm 4 5.4. GENERATE.- 52 - 5.5. BLOCK.-

TAILIEUCHUNG - Chia sẻ tài liệu không giới hạn
Địa chỉ : 444 Hoang Hoa Tham, Hanoi, Viet Nam
Website : tailieuchung.com
Email : tailieuchung20@gmail.com
Tailieuchung.com là thư viện tài liệu trực tuyến, nơi chia sẽ trao đổi hàng triệu tài liệu như luận văn đồ án, sách, giáo trình, đề thi.
Chúng tôi không chịu trách nhiệm liên quan đến các vấn đề bản quyền nội dung tài liệu được thành viên tự nguyện đăng tải lên, nếu phát hiện thấy tài liệu xấu hoặc tài liệu có bản quyền xin hãy email cho chúng tôi.
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.