TAILIEUCHUNG - Fault Tolerant Computer Architecture-P12

Fault Tolerant Computer Architecture-P12: For many years, most computer architects have pursued one primary goal: performance. Architects have translated the ever-increasing abundance of ever-faster transistors provided by Moore’s law into remarkable increases in performance. Recently, however, the bounty provided by Moore’s law has been accompanied by several challenges that have arisen as devices have become smaller, including a decrease in dependability due to physical faults | 89 CHAPTER 5 Self-Repair In Chapter 4 we discussed how to diagnose permanent faults. Diagnosis by itself is not useful though. Diagnosis is useful when it is combined with the ability of a processor to repair itself. In this chapter we discuss some of the many ways in which a processor can perform self-repair. The unifying theme to all self-repair schemes is that they require physical redundancy. Without physical redundancy no self-repair is possible. GENERAL CONCEPTS Fundamentally self-repair involves physical redundancy and reconfiguration. If component A is diagnosed as permanently faulty then the processor reconfigures itself to use component B instead of component A. Component A and component B are often homogeneous but heterogeneity is also possible. For example consider a processor with a complex ALU and a simple ALU. If the simple ALU fails then the complex ALU can be used to perform the operations that the simple ALU would have otherwise performed. Component B might be a cold spare that was not being used or it might be a hot spare that was being used in conjunction with component A. Cold spares use no power and suffer little or no wear-out until they are enabled. However a cold spare is effectively useless hardware until it is enabled. A cold spare may also need to be warmed up before it can begin operation. For example consider a system with a cold spare core. For the cold spare core to take over for a faulty core the system would need to first transfer a prefault recovery point from the faulty core to the cold spare. Another important design issue for self-repair is the granularity at which the processor can repair itself. The only fundamental restriction is that the granularity of self-repair must be at least as coarse as the granularity of diagnosis. If the diagnosis scheme can only resolve that the ALU is faulty then being able to repair just the adder within the ALU is not useful. The granularity of selfrepair has several important .

TAILIEUCHUNG - Chia sẻ tài liệu không giới hạn
Địa chỉ : 444 Hoang Hoa Tham, Hanoi, Viet Nam
Website : tailieuchung.com
Email : tailieuchung20@gmail.com
Tailieuchung.com là thư viện tài liệu trực tuyến, nơi chia sẽ trao đổi hàng triệu tài liệu như luận văn đồ án, sách, giáo trình, đề thi.
Chúng tôi không chịu trách nhiệm liên quan đến các vấn đề bản quyền nội dung tài liệu được thành viên tự nguyện đăng tải lên, nếu phát hiện thấy tài liệu xấu hoặc tài liệu có bản quyền xin hãy email cho chúng tôi.
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.