TAILIEUCHUNG - Automatic Placement and Routing using Cadence Encounter

In this tutorial you will gain experience using Cadence Encounter to perform automatic placement and routing. A place+route tool takes a gate-level netlist as input and rst determines how each gate should be placed on the chip. It uses several heuristic algorithms to group related gates together and thus hopefully minimize routing congestion and wire delay. Place+route tools will focus their e ort on minimizing the delay through the critical path. To this end, these tools can resize gates, insert new bu ers, and even perform local resynthesis. Place+route tools often have additional algorithms to help reduce area for non-critical paths | Automatic Placement and Routing using Cadence Encounter Tutorial 5 March 16 2006 In this tutorial you will gain experience using Cadence Encounter to perform automatic placement and routing. A place route tool takes a gate-level netlist as input and first determines how each gate should be placed on the chip. It uses several heuristic algorithms to group related gates together and thus hopefully minimize routing congestion and wire delay. Place route tools will focus their effort on minimizing the delay through the critical path. To this end these tools can resize gates insert new buffers and even perform local resynthesis. Place route tools often have additional algorithms to help reduce area for non-critical paths. After placement the place route tool will attempt to route the design while minimizing wire delay. Place route tools often include additional facilities for clock tree synthesis power routing and block level floorplanning. Figure 1 shows how Encounter fits into the toolflow. The following documentation is located in the course locker mit doc and provides additional information about Encounter and the Tower piti Standard Cell Library. - Databook for Tower mi Standard Cell Library - Encounter user guide - Encounter text command reference - Encounter GUI reference Getting started Before using the toolflow you must add the course locker and run the course setup script with the following two commands. add source mit For this tutorial we will be using an unpipelined SMIPSvl processor as our example RTL design. You should create a working directory and checkout the SMIPSvl example project from the course CVS repository using the following commands. mkdir tut5 cd tut5 cvs checkout examples smipsv1-1stage-v cd examples smipsv1-1stage-v Before starting take a look at the subdirectories in the .

TỪ KHÓA LIÊN QUAN
TAILIEUCHUNG - Chia sẻ tài liệu không giới hạn
Địa chỉ : 444 Hoang Hoa Tham, Hanoi, Viet Nam
Website : tailieuchung.com
Email : tailieuchung20@gmail.com
Tailieuchung.com là thư viện tài liệu trực tuyến, nơi chia sẽ trao đổi hàng triệu tài liệu như luận văn đồ án, sách, giáo trình, đề thi.
Chúng tôi không chịu trách nhiệm liên quan đến các vấn đề bản quyền nội dung tài liệu được thành viên tự nguyện đăng tải lên, nếu phát hiện thấy tài liệu xấu hoặc tài liệu có bản quyền xin hãy email cho chúng tôi.
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.