TAILIEUCHUNG - Bài giảng Thiết kế logic số (VLSI design): Chương 2.5 - Trịnh Quang Kiên

Bài giảng Thiết kế logic số (VLSI design) chương trình bày về các phát biểu đồng thời trong ngôn ngữ VHDL. Phát biểu đồng thời dùng mô tả cho mạch dạng cấu trúc hoặc dataflow. bài giảng để biết thêm các nội dung chi tiết. | Thiết kế logic số (VLSI design) Bộ môn KT Xung, số, VXL quangkien82@ 08/2012 Nội dung: Phát biểu đồng thời Thời lượng: 3 tiết bài giảng Chương II: Ngôn ngữ VHDL quangkien82@ 2/18 Mục đích, nội dung VHDL statements 3/18 Chương II: Ngôn ngữ VHDL quangkien82@ 3 Concurrent statements Đn: Phát biểu được thực thi không phụ thuộc vào vị trí xuất hiện trong chương trình. Vị trí: Trực tiếp trong mô tả kiến trúc Ứng dụng: Dùng mô tả cho mạch dạng cấu trúc hoặc dataflow Chương II: Ngôn ngữ VHDL quangkien82@ 4/18 Concurrent statements 1. PROCESS 2. COMPONENT INSTALLATION 3. GENERATE 4. Concurrent Signal Assignment 5/18 Chương II: Ngôn ngữ VHDL quangkien82@ PROCESS Mỗi khối câu lệnh PROCESS thực ra là một khối lệnh tuần tự Khối PROCESS không có danh sách Sensitive list thì bắt buộc phải xuất hiện lệnh WAIT Không giới hạn lệnh PROCESS trong một mô tả kiến trúc 6/18 Chương II: Ngôn ngữ VHDL .

TỪ KHÓA LIÊN QUAN
TAILIEUCHUNG - Chia sẻ tài liệu không giới hạn
Địa chỉ : 444 Hoang Hoa Tham, Hanoi, Viet Nam
Website : tailieuchung.com
Email : tailieuchung20@gmail.com
Tailieuchung.com là thư viện tài liệu trực tuyến, nơi chia sẽ trao đổi hàng triệu tài liệu như luận văn đồ án, sách, giáo trình, đề thi.
Chúng tôi không chịu trách nhiệm liên quan đến các vấn đề bản quyền nội dung tài liệu được thành viên tự nguyện đăng tải lên, nếu phát hiện thấy tài liệu xấu hoặc tài liệu có bản quyền xin hãy email cho chúng tôi.
Đã phát hiện trình chặn quảng cáo AdBlock
Trang web này phụ thuộc vào doanh thu từ số lần hiển thị quảng cáo để tồn tại. Vui lòng tắt trình chặn quảng cáo của bạn hoặc tạm dừng tính năng chặn quảng cáo cho trang web này.